Welcome![Sign In][Sign Up]
Location:
Search - keyboard in vhdl

Search list

[VHDL-FPGA-Verilogkey_scan

Description: 程序主要是用硬件描述语言(VHDL)实现: 4*4键盘扫描,简洁明了,通俗易懂,比较适合VHDL初学者-procedure was used in hardware description language (VHDL) to achieve : 4* 4 keyboard scan, concise, easily understood and more suitable for beginners VHDL
Platform: | Size: 308224 | Author: 刘赛 | Hits:

[Software EngineeringVHDLKEYBOARD

Description: 此模块用 VHDL 硬件描述语言来实现,对键盘设计的实际操作检验表明,此模块响应迅速、识别准确,较好地实现了键盘扫描和去抖动功能, 达到了预期的设计目的。同时,将状态机、扫描线、计数器等相关参数稍作改动,就可以扩展到实现不同键盘矩阵的设计-VHDL hardware description language to achieve the keyboard design of the actual operation of testing show that This module in response to the rapid, accurate identification, a better way to realize the keyboard scan and jitter to function, to achieve the desired purpose. Meanwhile, the state machine, scanning lines, counter and other related parameters minor modifications, it can be extended to the realization of the different design of the keyboard matrix
Platform: | Size: 62464 | Author: 章菁 | Hits:

[VHDL-FPGA-Verilogkeyborad

Description: 一个8X8的矩阵键盘的VHDL文件,并且有长安键和短按键之分,即一共能做到128个键值,扫描用的时钟用1ms的就行了-A 8x8 matrix keyboard VHDL files and have Changan and short keys of key points, namely, to achieve a total of 128 keys, scanning with the clock used on the list of 1ms
Platform: | Size: 1024 | Author: 张风 | Hits:

[VHDL-FPGA-VerilogkeyBoard

Description: vhdl编写的4X4键盘扫描程序,可以有效的消除抖动,并且提供蜂鸣器输出。-VHDL prepared 4X4 keyboard scanner, you can effectively eliminate jitter and provide buzzer output.
Platform: | Size: 2048 | Author: 王贤 | Hits:

[VHDL-FPGA-Verilogps2_verilog

Description: ps2_键盘控制器源码verilog源码,是一个不错的代码-ps2_ keyboard controller Verilog source code, is a good code
Platform: | Size: 13312 | Author: 高鹏 | Hits:

[Communication4cheng4jianpanxianshi

Description: 4乘4键盘识别与显示程序和说明(vhdl) 设计了一个的4x4键盘识别与显示模块。小键盘中有0~f共16个按键,小键盘和数码管都连接到PLD芯片上。-4 x 4 keyboard and display identification and description of procedures (vhdl) designed a recognition of the 4x4 keypad and display module. Small keyboard in the 0 ~ f total of 16 keys, small keyboard and digital tube are connected to the PLD chip.
Platform: | Size: 88064 | Author: coolrainy | Hits:

[VHDL-FPGA-Verilogvhdlkey7279

Description: cpld,环境是quartusii中vhdl语言开发7279读写键盘程序-cpld, the environment is quartusii in VHDL language to develop reading and writing 7279 keyboard program
Platform: | Size: 610304 | Author: 夏杰 | Hits:

[SCM006e405654b730e0857dfda923646ead

Description: Mihai Cucicea 描述:一人参加的迷宫游戏 Requires: D2SB and DIO4 with VGA monitor and PS2 Keyboard -Mihai Cucicea Description: First person to participate in the maze game Requires: D2SB and DIO4 with VGA monitor and PS2 Keyboard
Platform: | Size: 97280 | Author: wangwei | Hits:

[VHDL-FPGA-VerilogCpldVhdl

Description: 用VHDL语言写的程序包含如下功能:1.键盘扫描2.控制AD转换3.产生PWM信号与51系列CPU接口,接在51地址数据总线上,单片机通过访问地址总线上的数据寄存器来控制CPLD-VHDL language used to write the procedure that contains the following functions: 1. Keyboard scan 2. Control of AD converters 3. Generate PWM signals with the 51 series CPU interface, and then in the address data bus 51, the single-chip by visiting the address bus data Register to control the CPLD
Platform: | Size: 455680 | Author: liubaogui | Hits:

[VHDL-FPGA-Verilogexample

Description: 几个基本的VHDL例子,包括VGA显示,鼠标键盘PS2接口,数码管等。在XILINX板子上均可运行-Several basic VHDL examples, including VGA display, mouse, PS2 keyboard interface, digital tubes, and so on. XILINX board may be in the running
Platform: | Size: 2675712 | Author: kljlj | Hits:

[Windows Developlcd

Description: 使用PS2接口的键盘的小键盘输入,在12864液晶上显示出来,使用平台为CPLD或FPGA-PS2 keyboard interface to use a small keyboard input, in the 12864 liquid crystal display, use the platform for the CPLD or FPGA
Platform: | Size: 1053696 | Author: luojicheng | Hits:

[VHDL-FPGA-VerilogKeyboard

Description: Keyboard part of the source code in vhdl
Platform: | Size: 2048 | Author: zhangpeng | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 8*8乘法器设计 伪随机序列发生器 PS2键盘设计 均为VHDL-8* 8 multiplier design of pseudo-random sequence generator are PS2 keyboard design VHDL
Platform: | Size: 2048 | Author: qiumh | Hits:

[Communication-Mobilekeyboardcontroller

Description: Keyboard controller code in vhdl of good use
Platform: | Size: 15360 | Author: Anand Krishna | Hits:

[Software EngineeringCPLD_KEYBOARD

Description: 本设计是用VHDL语言来实现的基于RS232按位串行通信总线的行列式矩阵键盘接口电路,具有复位和串行数据的接收与发送功能,根据发光二极管led0—led2的显示状态可判断芯片的工作情况;实现所有电路功能的程序均是在美国 ALTERA公司生产的具有现场可编程功能的芯片EPM7128SLC84-15上调试通过的。该电路的设计贴近生活,实用性强,制成芯片后可作为一般的PC机键盘与主机的接口使用。 -The design is based on VHDL language to achieve bit serial RS232 communication bus according to the determinant of matrix keyboard interface circuit with a reset, and serial data reception and transmission capabilities, according to light-emitting diode display led0-led2 status can be judged chip work to achieve all the circuit functions of the program are produced in the United States has ALTERA Field Programmable functions EPM7128SLC84-15 on-chip debug passed. The circuit design of daily life, practical, post-produced chips can be used as a general PC, the keyboard and the host interface.
Platform: | Size: 67584 | Author: jalon | Hits:

[VHDL-FPGA-VerilogKeyBoard

Description: 应用VHDL编写的基于FPGA的矩阵键盘程序-Applications written in VHDL FPGA-based matrix keyboard program
Platform: | Size: 335872 | Author: 紫云 | Hits:

[VHDL-FPGA-VerilogISE_lab19

Description: 基于VHDL语言编写的俄罗斯方块游戏,由VGA接口和电脑显示器显示,用PS2键盘操作控制。-Written in VHDL-based Tetris game, by the VGA interface and a computer display, with a PS2 keyboard control.
Platform: | Size: 3850240 | Author: 大机子 | Hits:

[VHDL-FPGA-Verilogkeyboard

Description: 用VHDL硬件描述语音实现键盘控制操作,该代码在FPGA中经过了严格的运行调式-With VHDL keyboard control realization of hardware description speech, the code in the FPGA through strict operation mode
Platform: | Size: 504832 | Author: jiajinying | Hits:

[VHDL-FPGA-Verilogps2-keyboard

Description: PS2 KEYBIARD interfacing with lcd 2X16-PS2 KEYBIARD interfacing with lcd 2X16
Platform: | Size: 1689600 | Author: mahdi | Hits:

[VHDL-FPGA-Verilogkey_music

Description: 简易硬件电子琴 在开发板上实现一个简易电子琴,按下KEY1~KEY7 分别表示中音的DO、 RE、MI、FA、SOL、LA、SI 按住KEY8 再按KEY1~KEY7 分别表示高音的 DO、RE、MI、FA、SOL、LA、SI。通过这个实验,掌握利用蜂鸣器和按键 设计硬件电子琴的方法。-Simple hardware keyboard In the development of board achieve a simple keyboard, press KEY1 ~ KEY7 seperately DO, the alto RE, MI, FA, SOL, LA, SI Hold KEY8 press again KEY7 seperately KEY1 ~ the treble DO, RE, MI, FA, SOL, LA, SI. Through the experiment, grasps the buzzer and using buttons Design hardware keyboard method.
Platform: | Size: 10240 | Author: 罗文 | Hits:
« 12 3 4 »

CodeBus www.codebus.net